您的位置  > 互联网

VHDL硬件描述语言为设计手段,完成交通信号灯控制电路开发

随着社会特别是城市机动车保有量的不断增加,车辆交通控制在现代城市的日常运行控制中变得越来越重要。 在十字路口,交通信号灯越来越多地用于交通指挥和控制。 管理。 本文采用VHDL硬件描述语言作为设计方法,完成了交通灯控制电路的开发。 开发交通灯控制电路的目的是设计一种适用于主干道和支路交叉口的红、黄、绿交通灯控制系统。 合理设计系统功能,使红、黄、绿灯的转换有准确的时间间隔和转换顺序。 当然,这需要一个自动、安全的系统来控制红、黄、绿灯的转换。 将所设计的交通灯控制电路在5.0软件下进行仿真,观察其波形,并将程序下载到目标FPGA器件中进行硬件调试和验证,证明所设计的交通灯控制电路完全能够实现预定的功能。 ,并具有一定的实用性。

2 系统设计要求

所设计的交通灯控制电路必须适合主路和支路汇合处形成的交叉路口。 主干道和支路的红绿灯闪烁时间并不完全相同。 具体设计要求为:控制主干道与次干道汇合形成的交叉口,让主干道和支干道上的车辆、行人交替通行。 主干道上的车辆和行人通行时间为60秒。 这时,支路上的车辆和行人就会通行。 禁止通行; 支路车辆允许通行30秒,主路车辆此时也禁止通行。 每当信号灯由绿变红时,黄灯必须亮 5 秒。 此时另一条主干道红灯不变,禁止通行。 黄灯亮之前,绿灯以1HZ的频率闪烁5秒,以警示车辆和行人。 主干道上安装有数码管,显示道路上每个信号灯的闪烁时间。

3 系统设计方案及其逻辑设计

3.1 系统设计方案

通过参考其他相关文献[1]、[2]、[4]、[5]、[6]、[7],比较研究基于单片机和基于微控制器的设计方法的优缺点。分立元件方面,我们认为采用基于FPGA的设计方法具有周期短、设计灵活、易于修改等明显优势。 随着FPGA器件、设计语言和电子设计自动化工具的发展和完善,越来越多的电子系统采用FPGA进行设计。 是的,电子系统是通过FPGA 设计的。 一旦系统能够达到一定规模的量产,就可以轻松转换为ASIC芯片设计。 相信未来,FPGA设计方法将会更大规模地应用于各类电子系统设计中。 因此,我们决定采用VHDL硬件描述语言对系统设计需求进行编程,并采用自上而下的设计思想,将系统分为六个模块来实现,即交通灯控制模块、显示控制模块和显示模块。解码模块、60秒定时器模块、30秒定时器模块和5秒定时器模块。 如图1所示。

第620章 620

图1 交通灯控制电路模块划分

3.2 逻辑设计

根据上述确定的系统设计方案,采用模块化设计思想,设计了交通灯控制模块、显示控制模块、显示解码模块、60秒定时器模块、30秒定时器模块,并采用了5-第二个定时器模块,通过各模块程序端口之间的合理连接和协调,成功设计了红绿灯控制电路,并得到了其逻辑结构示意图,这就是整个红绿灯控制电路的逻辑结构。

4 设计验证

通过5.0软件中的仿真,验证该电路能够实现预定的功能,即主路和支路可以交替通行,主路可以通行60秒,支路可以通行30秒。 在绿灯变为红灯之前,黄灯先亮5秒。 此时,另一条主干道上的红灯依然没有变化。 当黄灯亮5秒时,另一条主干道绿灯亮,但红灯、黄灯不亮。 此时,主干道才允许通行。 主干道上有数字显示每个灯的闪烁时间。 如此循环不断地实现路口的交通管制。 此外,我们还实现了一个附加功能,即当支路没有车辆通过时,主路始终畅通。 这使得我们在实践中遇到特殊情况时可以灵活地控制应用。 另外,我们还可以增加手动控制终端,即需要交通管制时,交警可以手动控制路口信号灯的切换。

为了更准确地模拟和验证电路的功能,我们根据现实生活中的各种交通状况进行分类,设置了路口出现的多个场景,在5.0软件环境中,我们设计了系统电路执行每个设置场景的功能模拟。 图2至图5为几种设置场景的实验仿真结果(图中输入变量SM和SB分别为主支路传感信号,CLK为来自时钟发生电路的时钟信号,输出信号MR、MY、MG 分别为主路红灯、黄灯、绿灯,BR、BY、BG 分别为支路红灯、黄灯、绿灯,OUT1、OUT2 为主路红灯、黄灯、绿灯。主通道输出,OUT3、OUT4 为支路干线输出)。 通过观察这些场景下的实验模拟结果,我们发现该功能符合我们的预期,能够有序、准确地控制路口红绿灯的顺序转换,不会出现任何误操作。

除了软件仿真之外,我们还将整体程序下载到目标FPGA器件中,使用相应的硬件电路进行匹配,并进行硬件调试。 也验证了该电路工作良好,与软件仿真的结果完全一致,表明我们设计的交通灯控制电路已经通过了软件仿真和硬件测试,能够完成预定的功能。

第620章 620

图2 交通灯控制电路1仿真结果

第620章 620

图3 交通灯控制电路仿真结果二

第620章 620

图4 交通灯控制电路仿真结果三

第620章 620

图5 交通灯控制电路仿真结果四

5 结论

实验仿真结果表明,我们设计的交通灯控制电路能够很好地完成相应的控制,达到预定的功能。 通过硬件下载和调试,电路工作正常,控制结果完全满足相应要求。

本文作者的创新点是:利用VHDL语言设计了一种实用的交通灯控制电路。 通过软件仿真和硬件调试,该电路完成了预定的功能。 如果电路功能进一步改进和完善,就可以实现商品化。 完全可以达到实用的目的。

参考

[1] 兰元伟. 基于FPGA的交通灯控制系统仿真[J]. 现代电子技术,2006(9):125-129。

[2]何峰. 基于HDL设计的交通灯控制系统[J]. 现代电子技术,2005(8):103-107。

[3] 路是红色的。 专用集成电路设计与电子设计自动化[M]. 北京:清华大学出版社,2004。

[4]孙凌祥,陈士和,李劲松。 顺序控制方法在交通灯控制系统中的应用[J],现代电子技术,2006(10):26-27。

[5]王成勇. 智能交通灯控制系统[J]. 广东技术师范学院学报,2006(4):92-94。

[6] 陈胜利. PLC在交通信号灯控制中的应用[J]. 机电一体化,2003(9):85-87。

[7] 李静. 单路口交通灯信号模糊控制与仿真[J]. 微机信息,2006,22(3-1):33-38。